behavioral Architecture Reference

lpgbtfpga_scrambler ARCHITECTURE - 36bit Order 36 scrambler More...

Detailed Description

lpgbtfpga_scrambler ARCHITECTURE - 36bit Order 36 scrambler

Definition at line 37 of file lpgbtfpga_scrambler.vhd.


The documentation for this class was generated from the following file:

Processes

reg_proc  ( clk_i )

Signals

scrambledData  std_logic_vector ( 35 downto 0 )