behavioral Architecture Reference

lpgbtfpga_encoder - Downlink FEC encoder More...

Detailed Description

lpgbtfpga_encoder - Downlink FEC encoder

The lpgbtfpga_encoder module instantiates 4 times the Reed-Solomon N7K5 module WHEN each of them allows correcting a symbol of 3 bits over the 15 of the input message. Additionally, to make the encoding stronger, only 9 bits of each input message are USEd for the lpGBT.

Definition at line 35 of file lpgbtfpga_encoder.vhd.


The documentation for this class was generated from the following file:

Components

rs_encoder_N7K5  <Entity rs_encoder_N7K5>
 Reed-Solomon N7K5 encoding component.

Signals

virtualFrame_C0  std_logic_vector ( 14 downto 0 )
virtualFrame_C1  std_logic_vector ( 14 downto 0 )
virtualFrame_C2  std_logic_vector ( 14 downto 0 )
virtualFrame_C3  std_logic_vector ( 14 downto 0 )
FEC_s  std_logic_vector ( 23 downto 0 )

Instantiations

rse0_inst  rs_encoder_N7K5 <Entity rs_encoder_N7K5>
 Reed-Solomon N7K5 encoder (encodes data_i(8 downto 0))
rse1_inst  rs_encoder_N7K5 <Entity rs_encoder_N7K5>
 Reed-Solomon N7K5 encoder (encodes data_i(17 downto 9))
rse2_inst  rs_encoder_N7K5 <Entity rs_encoder_N7K5>
 Reed-Solomon N7K5 encoder (encodes data_i(26 downto 18))
rse3_inst  rs_encoder_N7K5 <Entity rs_encoder_N7K5>
 Reed-Solomon N7K5 encoder (encodes data_i(35 downto 27))