behavioral Architecture Reference

descrambler60bitOrder58 ARCHITECTURE - 58bit Order 60 descrambler More...

Detailed Description

descrambler60bitOrder58 ARCHITECTURE - 58bit Order 60 descrambler

Definition at line 34 of file descrambler_60bitOrder58.vhd.


The documentation for this class was generated from the following file:

Processes

reg_proc  ( clk_i )

Signals

memory_register  std_logic_vector ( 59 downto 0 )
descrambledData  std_logic_vector ( 59 downto 0 )

Attributes

keep  string
keep  descrambledData : signal is " true "
keep  memory_register : signal is " true "