descrambler58bitOrder58 Entity Reference

descrambler58bitOrder58 - 58bit Order 58 descrambler More...

Inheritance diagram for descrambler58bitOrder58:
lpgbtfpga_descrambler lpgbtfpga_uplink

Detailed Description

descrambler58bitOrder58 - 58bit Order 58 descrambler

Definition at line 16 of file descrambler_58bitOrder58.vhd.


The documentation for this class was generated from the following file:

Entities

behavioral  architecture
 descrambler58bitOrder58 ARCHITECTURE - 58bit Order 58 descrambler More...
 

Libraries

ieee 
 Include the IEEE VHDL standard LIBRARY.

Use Clauses

ieee.std_logic_1164.all 
work.lpgbtfpga_package.all 
 Include the lpGBT-FPGA specific package.

Ports

clk_i   in std_logic
clkEn_i   in std_logic
reset_i   in std_logic
data_i   in std_logic_vector ( 57 downto 0 )
data_o   out std_logic_vector ( 57 downto 0 )
bypass   in std_logic